官方论坛
官方淘宝
官方博客
微信公众号
点击联系吴工 点击联系周老师
您的当前位置:主页 > 技术文章 >

Diamond在线调试助手Reveal使用(多图超详细)-明德扬科教(mdy-edu.com)

发布时间:2019-12-10   作者:admin 浏览量:

Diamond在线调试助手Reveal使用(多图超详细)


本文为明德扬原创文章,转载请注明出处!作者:鱼丸粗面

在之前的系列文章中,我为大家详细讲解了Lattice开发工具的一些基本的使用方法,如怎样申请Diamond License、如何生成IP核、如何新建工程……今天我将继续介绍Diamond软件的使用方法——如何使用在线调试助手Reveal进行工程调试。

首先,找到Reveal图标,在下图标示区域。Quartus Signaltap不同的是,Diamond在线调试助手需要在两个地方分别进行设置,一个是“Reveal Inserter”,在下图中标示框中左边的图标,此窗口是用来设置采样时钟、采样深度、需要采样的信号以及触发条件。另一个是“Reveal Analyzer”,在标示框中右边的图标,此窗口是用来显示捕获到的波形信号。

接下来,建立调试文件。找到工程文件列表中的Debug Files(如图2),右键“AddNew Files”,弹出的界面中找到“Reveal Project Files”,在下面会显示对应的文件类型为“rvl”(如图3),将其保存到设定好的文件夹目录下(可以在工程文件夹目录下新建一个debug文件夹,用来保存调试文件)。

 

2 新建调试文件

 

3 选择新建.rvl文件

 

入信号设置界面(如图4点击“New”,进)。其中“Trace”下面是想要观察的信号列表,需要从左边“Design Trace”窗口中在对应的模块中找到信号名称然后拖拽到“Trace”窗口之下;“Simple Clock”是采样时钟,可以手动输入,也可以从“Design Trace”窗口中找到时钟信号拖入;“Buffer Depth”是采样深度,可以根据资源占用的数目来进行选定。“Implementation”是所用的资源数目,不可以超过100否则会报错。

 

4-1 信号设置界面

 

4-2是信号设置完成的界面:

4-2 信号设置界面

 

采样时钟、待观察信号设置完成后,进行触发条件设置,在右下角的“Trigger Singnal Setup”界面(如图5),设置触发条件。

5 触发条件设置

 

触发条件设置界面需要设置两部分内容。在“Trigger Unit”窗口中,选择要触发的信号,点击“Add”可以添加触发条件设置窗口来增加触发信号的个数。双击“signals”下的空白(如图6),在弹出的信号列表界面中找到待触发的信号,将其添加进来,判断条件在“Operator”窗口下面选择,可以选择上升沿、下降沿触发,或者其他触发判断条件。

6 选择触发信号及判断条件

 

添加完所有的待触发信号和判断条件之后,需要在“Trigger Expressin”窗口中将上面添加的“name”名复制到“Expression”下(如图7),名称要与前面“name”一致,并且在“Trigger Unit”界面中出现的“name”名称都要添加到“Trigger Expression”下。

 

7 正确设置触发条件界面

 

Ctrl+S保存修改,将设置好的“.rvl”文件与整个工程一起进行编译综合,并将生成的“.bit”流文件烧录到板子中,接下来需要设置“.rva”配置文件。

在“Reveal analyzer”窗口(图8左上角)下创建JTAG口与FPGA连接的文件,在“Reveal Analyzer Setup Wizard“界面下,选择”Create a new file“。

8 创建.rva文件

 

 

命名文件名。在“USB port”一栏中,点击“Detect”寻找与FPGAJTAG口相连的USB接口。在“Debug device”一栏中,点击“Scan”来添加正在进行通信的FPGA设备。如果没有先生成bit流文件并烧录到板子中,将会出现如下报错:“Cannot debug device : please check cable connection or reveal insertion in bitstream”。

9 报错信息

 

错误信息,需要先将bit流文件烧录进板子中去。综合编译,生成bit流文件后进行烧录,再点击Scan,即可检测到待调试的设备。

 

烧录进bit流文件后,点击“Scan“,便能搜索到正在通信的FPGA设备:

10 添加Debug device

 

将前面生成的“.rvl”文件添加进“RVL source”一栏中:

11 添加.RVL文件

 

设置完成后,打开“Reveal Analyzer”界面,点击图中绿色按钮,逻辑分析仪便会按照设置的触发信号以及触发条件捕捉待观察的信号。

12 开始捕捉信号

 

 

成功捕获到的波形:

13 捕获到的波形图

以上就是线调试助手Reveal的使用方法,如有不明白的地方可以加我的QQ3358622769,我会为有需要的朋友提供帮助。期待与大家一起探讨进步!

上一篇:没有了
  •   
  •   
  •   
  •  
  • FPGA教育领域第一品牌
  • 咨询热线:020-39002701
  • 技术交流Q群:544453837