官方论坛
官方淘宝
官方博客
微信公众号
点击联系吴工 点击联系周老师
您的当前位置:主页-old > 新闻中心 > FPGA技术教程 > QUARTUS >

Quartus固化程序烧录步骤

发布时间:2021-06-26   作者:武哥 浏览量:

步骤一:

点击Flie,选择Convert Programming File选项,在Programming file type选项中选择.jic文件格式。

 

步骤二:

Configuration Device选项中选择配置芯片。

 

 

步骤三:

File name选项中更改生成的.jic文件名称以及存放的位置。

 

 

步骤四:

Input files to convert 栏中,点击选择Flash Loader,点击Add Device按钮,选择Flash Loader的芯片,点击SOF Data,选择相应的SOF文件。

点击Generate按钮,生成.jic文件。


步骤五:

回到Programmer界面,点击Add File按钮,选择相应的.jic文件。

 

步骤六:

删除SOF格式文件(通常情况下在第一行),在名称含有.jic的文件选项中,勾选以下几个选项:Program/configureVerifyBlank-Check。勾选Program/configure时其他文件的该选项也会自动勾选。


步骤七:

点击Start按钮,进行程序固化。

   拓展阅读